SystemVerilog: differenze tra le versioni

Contenuto cancellato Contenuto aggiunto
m Typo fixing
Sistemazione dei riferimenti e delle categorie
Riga 323:
 
== Riferimenti ==
{{Reflist}}
<references group="" responsive="1"></references>
* {{Cite book| title = 1800-2005 — IEEE Standard for System Verilog—Unified Hardware Design, Specification, and Verification Language| doi = 10.1109/IEEESTD.2005.97972| year = 2005| isbn = 978-0-7381-4810-6}}
 
* {{Cite book| title = 1800-2009 — IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language| doi = 10.1109/IEEESTD.2009.5354441| year = 2009| isbn = 978-0-7381-6130-3}}
* {{Cita libro|anno=2005|ISBN=978-0-7381-4810-6|DOI=10.1109/IEEESTD.2005.97972}}
* {{Cite book| title = 1800-2012 — IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language| doi = 10.1109/IEEESTD.2013.6469140| year = 2013| isbn = 978-0-7381-8110-3}}
* {{Cita libro|anno=2009|ISBN=978-0-7381-6130-3|DOI=10.1109/IEEESTD.2009.5354441}}
* {{Cite book| title = 1800-2017 — IEEE Standard for SystemVerilog—Unified Hardware Design, Specification, and Verification Language| doi = 10.1109/IEEESTD.2018.8299595| year = 2017| isbn = 978-1-5044-4509-2}}
* {{Cita libro|anno=2013|ISBN=978-0-7381-8110-3|DOI=10.1109/IEEESTD.2013.6469140}}
* {{cite news |last=McGrath |first=Dylan |url=http://www.eetimes.com/news/design/showArticle.jhtml;?articleID=173601060 |title=IEEE approves SystemVerilog, revision of Verilog |publisher=EE Times |date=2005-11-09 |access-date=2007-01-31}}
* {{Cita libro|anno=2017|ISBN=978-1-5044-4509-2|DOI=10.1109/IEEESTD.2018.8299595}}
* {{Citacite news |last=Puneet Kumar |url=http://asicguru.com/System-Verilog-Tutorial/1/3 |title=System Verilog Tutorial | date=2005-11-09 }}
* {{Cita news|url=http://www.eetimes.com/news/design/showArticle.jhtml;?articleID=173601060}}
* {{cite news |last=Gopi Krishna |url=http://www.testbench.in |title=SystemVerilog ,SVA,SV DPI Tutorials |date=2005-11-09 }}
* {{Cita news|url=http://asicguru.com/System-Verilog-Tutorial/1/3}}
* {{Citacite news |last= HDVL |url=http://wwwhdvl.testbenchwordpress.incom/category/systemverilog/ |title=More SystemVerilog Weblinks }}
* Spear, Chris, [https://www.amazon.com/SystemVerilog-Verification-Learning-Testbench-Language/dp/0387765298/ref=sr_1_1?ie=UTF8&s=books&qid=1247578512&sr=8-1 "SystemVerilog for Verification"] Springer, New York City, NY. {{ISBN|0-387-76529-8}}
* {{Cita news|url=http://hdvl.wordpress.com/category/systemverilog/}}
* SpearStuart Sutherland, ChrisSimon Davidmann, Peter Flake, [https://www.amazon.com/SystemVerilog-VerificationDesign-LearningSecond-TestbenchHardware-LanguageModeling/dp/03877652980387333991/ref=sr_1_1sr_1_4?ie=UTF8&s=books&qid=1247578512&sr=8-14 "SystemVerilog for VerificationDesign Second Edition: A Guide to Using SystemVerilog for Hardware Design and Modeling"] Springer, New York City, NY. {{ISBN|0-387-7652933399-81}}[[ISBN (identifier)|ISBN]]&nbsp;[[Special:BookSources/0-387-76529-8|0-387-76529-8]]
* Ltuart Sutherland, Simon Davidmann, Peter Flake, [https://www.amazon.com/SystemVerilog-Design-Second-Hardware-Modeling/dp/0387333991/ref=sr_1_4?ie=UTF8&s=books&qid=1247578512&sr=8-4 "SystemVerilog for Design Second Edition: A Guide to Using SystemVerilog for Hardware Design and Modeling"] Springer, New York City, NY. {{ISBN|0-387-33399-1}}[[ISBN (identifier)|ISBN]]&nbsp;[[Special:BookSources/0-387-33399-1|0-387-33399-1]]
* Ben Cohen, Srinivasan Venkataramanan, Ajeetha Kumari and Lisa Piper [http://SystemVerilog.us] SystemVerilog Assertions Handbook, 4th Edition, 2016- http://SystemVerilog.us
* Ben Cohen Srinivasan Venkataramanan and Ajeetha Kumari [http://SystemVerilog.us] A Pragmatic Approach to VMM Adoption, - http://SystemVerilog.us
* Erik Seligman and Tom Schubert [https://www.amazon.com/Formal-Verification-Essential-Toolkit-Modern-ebook/dp/B012VX1MW8/ref=sr_1_1?ie=UTF8&qid=1451183481&sr=8-1&keywords=erik+seligman+formal+verification] Formal Verification: An Essential Toolkit for Modern VLSI Design, Jul 24, 2015,
 
== LinkCollegamenti esterni ==
 
;Standard IEEE
Lo standard SystemVerilog più recente è accessibile gratuitamente tramite [https://ieeexplore.ieee.org/browse/standards/get-program/page/series?id=80 IEEExplore].
 
* [https://ieeexplore.ieee.org/document/8299595 1800-2017 - IEEE Standard for SystemVerilog--Unified Hardware Design, Specification, and Verification Language]
 
;Tutorial
* [http://www.asic-world.com/systemverilog/tutorial.html SystemVerilog Tutorial]
* [http://www.project-veripage.com/sv_front.php SystemVerilog Tutorial for Beginners]
 
;Definizione degli standard
* [http://www.eda.org/sv-ieee1800/ IEEE P1800] – Gruppo di lavoro per SystemVerilog
* Siti utilizzati prima dell'IEEE 1800-2005
** [http://www.systemverilog.org/ SystemVerilog official website]
** [http://www.vhdl.org/sv/ SystemVerilog Technical Committees]
 
;Estensioni del linguaggio
* [http://www.veripool.org/verilog-mode Verilog AUTOs] – Sistema di meta-comment open-source per la manutenzione di codice Verilog
 
;Strumenti online
* [http://www.edaplayground.com EDA Playground] – Eseguire SystemVerilog da un web browser (online IDE)
* [http://www.svericl.com/sverule sverule] – SystemVerilog BNF Navigator
 
 
{{Controllo di autorità}}
{{Norme IEEE}}
{{Logica programmabile}}
{{portale|elettronica|informatica}}
 
<nowiki>[[Categoria:LinguaggiCircuiti dielettronici descrizione hardwareconfigurabili]]</nowiki>
[[Categoria:Linguaggi di descrizione hardware]]
[[Categoria:Elettronica digitale]]
[[Categoria:Linguaggi di programmazione strutturati]]